CORDIC

Der CORDIC-Algorithmus (englisch Coordinate Rotation Digital Computer) ist ein effizienter iterativer Algorithmus, mit dessen Hilfe sich viele mathematische Funktionen implementieren lassen, wie z. B. trigonometrische Funktionen, Exponentialfunktion und Logarithmen sowie auch die einfache Multiplikation oder Division.

Motivation

In der Rechentechnik, vornehmlich in der digitalen Signalverarbeitung, benötigt man schnelle Verfahren für die Berechnung von bspw. trigonometrischen Funktionen. Herkömmliche Reihenentwicklungen wie z. B. die Taylorreihe zeigen oft nur mittelmäßige (d. h. langsame, oder gar von den Argumenten abhängige) Konvergenz und schlechte numerische Stabilität. Eine Reihenentwicklung besteht außerdem hauptsächlich aus einer Summe von Produkten, die nur aufwendig zu berechnen sind.

Geschichtliche Entwicklung

Der CORDIC-Algorithmus wurde 1959 von Jack E. Volder präsentiert. In der ursprünglichen Version war es damit möglich, trigonometrische Funktionen wie Sinus, Cosinus und Tangens sowie die Multiplikation und Division von Zahlen allein durch die in digitalen Schaltungen einfach realisierbaren Additionen und Schiebeoperationen (engl. shift-and-add operations) zu bilden. Schiebeoperationen zur Zahlenbasis 2 sind in digitalen Schaltungen sehr leicht durch entsprechende Verschaltung realisierbar.

Volders Motivation war der Ersatz der üblichen und fehleranfälligen analogen Navigationsrechner in Convair-B-58-Bombern durch digitale Rechner zur genauen Positionsbestimmung. Die Anforderung war die Positionsberechnung der mit Überschallgeschwindigkeit fliegenden Bomber in Echtzeit über einer vereinfacht als kugelförmig angenommenen Erdoberfläche.

Mitte der 1960er Jahre wurde der CORDIC-Algorithmus auch in zivilen Anwendungen eingesetzt. Vorläufer der heutigen Taschenrechner wie der Tischrechner 9100 von Hewlett-Packard aus dem Jahr 1968 setzten ihn zur Berechnung der trigonometrischen Funktionen ein.

Im Jahr 1971 wurde der CORDIC-Algorithmus von J. S. Walther auf die heute übliche Form erweitert und damit auch die effiziente Berechnung von Logarithmen, der Exponentialfunktion und der Quadratwurzel in digitalen Schaltungen möglich.

Anwendungsbeispiele

Digitalschaltung CORDIC

CORDIC-Algorithmen werden zur Berechnung der wichtigsten Elementarfunktionen in Mikrocontroller-Rechenwerken wie Taschenrechnern eingesetzt. So findet sich auch in arithmetischen x87-Koprozessoren von Intel der CORDIC-Algorithmus zur Berechnung mathematischer Operationen. Weitere Anwendungsbeispiele liegen in der Nachrichtenübertragung. Damit lassen sich beispielsweise effizient Betrag und Phase eines komplexen Signals bestimmen.

Da Multiplizierwerke vor allem in digitalen Schaltungen umfangreich und damit teuer zu realisieren sind, wird CORDIC oft genau da eingesetzt, wo Multiplizierer nicht effizient verfügbar sind. Dies umfasst vor allem den Bereich der digitalen Schaltungstechniken wie FPGAs oder ASICs.

CORDIC ist zwar nicht der schnellste Algorithmus, wird aber wegen seiner Einfachheit und Vielseitigkeit oft eingesetzt.

Funktionsweise

Illustration von CORDIC

CORDIC kann man im R 3 {\displaystyle \mathbb {R} ^{3}} , aber auch nur in der zweidimensionalen Ebene betrachten. Im Folgenden umfasst die Beschreibung den einfacheren, zweidimensionalen Fall.

Dreht man ein Koordinatensystem um den Winkel Θ {\displaystyle \Theta } , erscheint der Vektor ( 1 , 0 ) T {\displaystyle (1,\;0)^{T}} um den Winkel Θ {\displaystyle \Theta } gedreht; sein Endpunkt liegt im neuen System bei x = cos Θ {\displaystyle x=\cos \Theta } und y = sin Θ {\displaystyle y=\sin \Theta } .

Die Rotation um den Winkel Θ {\displaystyle \Theta } entspricht dem Matrix-Vektor-Produkt:

( x n y n ) = ( cos Θ sin Θ sin Θ cos Θ ) ( 1 0 ) {\displaystyle {\begin{pmatrix}x_{n}\\y_{n}\end{pmatrix}}={\begin{pmatrix}\cos \Theta &-\sin \Theta \\\sin \Theta &\cos \Theta \end{pmatrix}}\cdot {\begin{pmatrix}1\\0\end{pmatrix}}}

D. h., um auf den eigentlichen Funktionswert zu kommen, muss der Einheitsvektor ( 1 , 0 ) T {\displaystyle (1,\;0)^{T}} um Θ {\displaystyle \Theta } gedreht werden. Dies lässt sich leichter bewerkstelligen, wenn innerhalb der Transformationsmatrix nur noch eine Abhängigkeit von einer Winkelfunktion, z. B. tan {\displaystyle \tan } besteht:

( x n y n ) = cos Θ ( 1 tan Θ tan Θ 1 ) ( 1 0 ) {\displaystyle {\begin{pmatrix}x_{n}\\y_{n}\end{pmatrix}}=\cos \Theta \cdot {\begin{pmatrix}1&-\tan \Theta \\\tan \Theta &1\end{pmatrix}}\cdot {\begin{pmatrix}1\\0\end{pmatrix}}}

Die Drehung um Θ {\displaystyle \Theta } wird trickreich realisiert als Linearkombination von Teildrehungen um geschickt gewählte Teilwinkel α i {\displaystyle \alpha _{i}} .

Θ = i σ i α i σ i { 1 , 1 } {\displaystyle \Theta =\sum _{i}\sigma _{i}\cdot \alpha _{i}\qquad \sigma _{i}\in \{-1,1\}}

Eine zu weite Drehung im Schritt i {\displaystyle i} wird kompensiert durch einen Vorzeichenwechsel σ i + 1 := σ i {\displaystyle \sigma _{i+1}:=-\sigma _{i}} . Das gezeigte Verfahren konvergiert und ist numerisch stabil für alle Θ {\displaystyle \Theta } , die sich aus obiger Summe ergeben können. Man führt nun noch eine Hilfsvariable z {\displaystyle z} ein, die für den Drehsinn Verantwortung trägt:

z 0 = Θ z i = z i 1 σ i 1 α i 1 {\displaystyle z_{0}=\Theta \qquad \quad z_{i}=z_{i-1}-\sigma _{i-1}\cdot \alpha _{i-1}}
σ i = { 1 falls z i 0 1 sonst {\displaystyle \sigma _{i}={\begin{cases}-1&{\mbox{falls}}\quad z_{i}\leq 0\\1&{\mbox{sonst}}\end{cases}}}

Wenn nur einfachste Bauteile verwendet werden sollen und daher keine Multiplizierer vorhanden sind, muss man alles über Schiebe- und Addieroperationen bewerkstelligen. Dieses wird erreicht durch den Ansatz

tan α i = 2 i {\displaystyle \tan \alpha _{i}=2^{-i}} .

Man erhält damit den folgenden Algorithmus:

( x n y n ) = i = 0 n 1 cos α i ( 1 σ i 2 i σ i 2 i 1 ) ( x 0 y 0 ) = K i = 0 n 1 ( 1 σ i 2 i σ i 2 i 1 ) ( x 0 y 0 ) {\displaystyle {\begin{pmatrix}x_{n}\\y_{n}\end{pmatrix}}=\prod _{i=0}^{n-1}\cos \alpha _{i}{\begin{pmatrix}1&-\sigma _{i}2^{-i}\\\sigma _{i}2^{-i}&1\end{pmatrix}}\cdot {\begin{pmatrix}x_{0}\\y_{0}\end{pmatrix}}=K\cdot \prod _{i=0}^{n-1}{\begin{pmatrix}1&-\sigma _{i}2^{-i}\\\sigma _{i}2^{-i}&1\end{pmatrix}}\cdot {\begin{pmatrix}x_{0}\\y_{0}\end{pmatrix}}}

mit dem Skalierungsfaktor K = i = 0 n 1 cos α i {\displaystyle K=\prod _{i=0}^{n-1}\cos \alpha _{i}\approx } 0,60725... für n {\displaystyle n\to \infty } , der während der Initialisierungsphase implizit berechnet wird.

x i + 1 := x i σ i 2 i y i {\displaystyle x_{i+1}\,:=\,x_{i}-\sigma _{i}2^{-i}y_{i}}
y i + 1 := y i + σ i 2 i x i {\displaystyle y_{i+1}\,:=\,y_{i}+\sigma _{i}2^{-i}x_{i}}
z i + 1 := z i σ i arctan 2 i {\displaystyle z_{i+1}\,:=\,z_{i}-\sigma _{i}\arctan 2^{-i}}

Initialisierung

Vorweg wird eine Tabelle T {\displaystyle T} fester Länge L {\displaystyle L} angelegt mit T 1 = π / 4 = arctan δ 1 {\displaystyle T_{1}=\pi /4=\arctan \delta _{1}} , wobei δ 1 = 1 {\displaystyle \delta _{1}=1} ist. Die folgenden Werte sind: T j = arctan δ j {\displaystyle T_{j}=\arctan \delta _{j}} mit δ j = δ j 1 / 2 {\displaystyle \delta _{j}=\delta _{j-1}/2} . (Die Werte des Arcustangens lassen sich mit der hier gut konvergierenden Potenzreihenentwicklung bestimmen.)

Die Länge L {\displaystyle L} der Tabelle bestimmt die erreichbare Genauigkeit. Führt man alle Drehungen eines Einheitsvektors ( 0 , 1 ) T {\displaystyle (0,\;1)^{T}} mit den so berechneten Werten hintereinander in gleichem Drehsinn aus, erzielt man eine Gesamtdrehung von etwas mehr als ± π / 2 {\displaystyle \pm \pi /2} . Der Skalenfaktor K {\displaystyle K} wird mit einem Aufruf im Vektormodus (s. u.) berechnet, indem man die Verlängerung des Einheitsvektors ( 0 , 1 ) T {\displaystyle (0,1)^{T}} ohne Skalierung berechnet.

Rotationsmodus

Der Ausgangsvektor ( 1 , 0 ) T {\displaystyle (1,0)^{T}} wird in jedem der Schritte so gedreht, dass der Winkel z = Θ {\displaystyle z=\Theta } gegen Null geht. Es werden stets alle L {\displaystyle L} Teildrehungen ausgeführt, mit ggf. wechselndem Vorzeichen. Da der Kosinus eine gerade Funktion ist, spielt das Vorzeichen bei der Skalierung keine Rolle. Nach Reskalierung sind die Komponenten des erhaltenen Endvektors cos Θ {\displaystyle \cos \Theta } und sin Θ {\displaystyle \sin \Theta } . Der Konvergenzbereich ergibt sich zu i = 0 n 1 arctan 2 i Θ + i = 0 n 1 arctan 2 i {\displaystyle -\sum _{i=0}^{n-1}\arctan 2^{-i}\leq \Theta \leq +\sum _{i=0}^{n-1}\arctan 2^{-i}} , also bei genügend großem n {\displaystyle n} etwa zu 1 , 74 Θ + 1 , 74 {\displaystyle -1{,}74\leq \Theta \leq +1{,}74} , d. h., er erstreckt sich über mehr als den vierten und ersten Quadranten.

Vektormodus

Der vorgegebene Vektor, dessen Polarkoordinaten gesucht werden, wird immer so gedreht, dass sich der Betrag seiner y {\displaystyle y} -Komponente verringert. Die Drehwinkel Θ {\displaystyle \Theta } werden dabei vorzeichenrichtig addiert. Die x {\displaystyle x} -Komponente des Endvektors ist nach Reskalierung der Betrag des Ausgangsvektors. Dieser Modus wird auch benutzt zur Berechnung des Arcustangens aus zwei Argumenten, Start mit c ( cos Θ , sin Θ ) T {\displaystyle c(\cos \Theta ,\sin \Theta )^{T}} . Der Konvergenzbereich ist derselbe wie oben. Aus arctan2 ( y 0 , x 0 ) {\displaystyle \operatorname {arctan2} (y_{0},x_{0})} lassen sich die Funktionen arcsin y 0 {\displaystyle \arcsin y_{0}} und arccos x 0 {\displaystyle \arccos x_{0}} unter Zuhilfenahme von x 0 2 + y 0 2 = 1 {\displaystyle x_{0}^{2}+y_{0}^{2}=1} leicht ableiten.

Bereich außerhalb von ±π/2

Der Startvektor ( 0 , 1 ) T {\displaystyle (0,1)^{T}} bzw. ( 0 , 1 ) T {\displaystyle (0,-1)^{T}} entspricht einer Vorwegdrehung von π / 2 {\displaystyle \pi /2} bzw. π / 2 {\displaystyle -\pi /2} (für den Rotationsmodus). Bei einem Startvektor mit negativer x {\displaystyle x} -Komponente im Vektormodus bewirkt man entsprechende Drehungen durch Vertauschen der Komponenten und Änderungen der Vorzeichen.

Verallgemeinerung

Die oben benutzten Iterationsformeln

( x i y i ) = cos Θ ( 1 tan Θ tan Θ 1 ) ( x i 1 y i 1 ) {\displaystyle {\begin{pmatrix}x_{i}\\y_{i}\end{pmatrix}}=\cos \Theta \cdot {\begin{pmatrix}1&-\tan \Theta \\\tan \Theta &1\end{pmatrix}}\cdot {\begin{pmatrix}x_{i-1}\\y_{i-1}\end{pmatrix}}}

sind ein Sonderfall der allgemeineren Vorschrift

( x i y i z i ) = k i ( 1 m σ i δ i 0 0 σ i δ i 1 0 0 0 0 1 σ i t i ) ( x i 1 y i 1 z i 1 1 ) {\displaystyle {\begin{pmatrix}x_{i}\\y_{i}\\z_{i}\end{pmatrix}}=k_{i}\cdot {\begin{pmatrix}1&-m\sigma _{i}\delta _{i}&0&0\\\sigma _{i}\delta _{i}&1&0&0\\0&0&1&-\sigma _{i}t_{i}\end{pmatrix}}\cdot {\begin{pmatrix}x_{i-1}\\y_{i-1}\\z_{i-1}\\1\end{pmatrix}}}

mit m = 1 {\displaystyle m=1} und δ i = 2 i {\displaystyle \delta _{i}=2^{-i}} sowie t i = arctan δ i {\displaystyle t_{i}=\arctan \delta _{i}} .

Lineare Modi

Für m = 0 {\displaystyle m=0} , δ i = t i = [ 1 , 1 / 2 , 1 / 4 , 1 / 8 , ] {\displaystyle \delta _{i}=t_{i}=[1,1/2,1/4,1/8,\dotsc ]} und k = 1 {\displaystyle k=1} erhält man

( x i y i z i ) = ( 1 0 0 0 σ i δ i 1 0 0 0 0 1 σ i δ i ) ( x i 1 y i 1 z i 1 1 ) {\displaystyle {\begin{pmatrix}x_{i}\\y_{i}\\z_{i}\end{pmatrix}}={\begin{pmatrix}1&0&0&0\\\sigma _{i}\delta _{i}&1&0&0\\0&0&1&-\sigma _{i}\delta _{i}\end{pmatrix}}\cdot {\begin{pmatrix}x_{i-1}\\y_{i-1}\\z_{i-1}\\1\end{pmatrix}}} ,

womit sich Multiplikation und Division durchführen lassen. Eine Tabelle T {\displaystyle T} erübrigt sich hier.

Multiplikation

x 0 = a {\displaystyle x_{0}=a} , z 0 = b {\displaystyle z_{0}=b} ergibt im Rotationsmodus ( z {\displaystyle z} gegen 0) y n = y 0 + a b {\displaystyle y_{n}=y_{0}+a\cdot b} für alle 2 < b < 2 {\displaystyle -2<b<2} .

Division

x 0 = b {\displaystyle x_{0}=b} , y 0 = a {\displaystyle y_{0}=a} ergibt im Vektormodus ( y {\displaystyle y} gegen 0) z n = z 0 + a / b {\displaystyle z_{n}=z_{0}+a/b} für alle 2 < a / b < 2 {\displaystyle -2<a/b<2} .

Hyperbolische Modi

Mit m = 1 {\displaystyle m=-1} werden die Hyperbelfunktionen, ihre Umkehrungen (Areafunktionen), Exponentialfunktion und Logarithmus sowie die Quadratwurzel berechenbar. Einheitskreis bzw. -hyperbel werden durch x 2 + m y 2 = 1 {\displaystyle {\sqrt {x^{2}+my^{2}}}=1} mit m = + 1 {\displaystyle m=+1} bzw. m = 1 {\displaystyle m=-1} beschrieben. Das zu einem Vektor ( x , y ) T {\displaystyle (x,y)^{T}} gehörende Winkel- bzw. Areaargument ist durch Θ = arctan ( m y / x ) / m {\displaystyle \Theta =\arctan({\sqrt {m}}\cdot y/x)/{\sqrt {m}}} gegeben, also

m = 1 {\displaystyle m=1} , Winkelfunktionen (s.o): Θ = arctan ( y / x ) {\displaystyle \Theta =\arctan(y/x)} und

m = 1 {\displaystyle m=-1} , hyperbolische Fkt.:

Θ = arctan ( i y / x ) / i {\displaystyle \Theta =\arctan(iy/x)/i}

, hier i 2 = 1 {\displaystyle i^{2}=-1} ; i Θ = arctan ( i y / x ) {\displaystyle i\Theta =\arctan(iy/x)} ; und wegen tanh α = i tan ( i α ) {\displaystyle \operatorname {tanh} \alpha =-i\tan(i\alpha )} auch Θ = Atanh ( y / x ) {\displaystyle \Theta =\operatorname {Atanh} (y/x)} .

Das Verfahren ist analog zu dem eingangs gezeigten für die Winkelfunktionen. Erforderlich sind nur eine weitere Tabelle mit t i = Atanh δ i {\displaystyle t_{i}=\operatorname {Atanh} \delta _{i}} , δ i = [ 1 / 2 , 1 / 4 , 1 / 8 , ] {\displaystyle \delta _{i}=[1/2,1/4,1/8,\dotsc ]} und die einmalige Berechnung des Skalenfaktors K {\displaystyle K} .

( x i y i z i ) = k i ( 1 σ i δ i 0 0 σ i δ i 1 0 0 0 0 1 σ i t i ) ( x i 1 y i 1 z i 1 1 ) {\displaystyle {\begin{pmatrix}x_{i}\\y_{i}\\z_{i}\end{pmatrix}}=k_{i}\cdot {\begin{pmatrix}1&\sigma _{i}\delta _{i}&0&0\\\sigma _{i}\delta _{i}&1&0&0\\0&0&1&-\sigma _{i}t_{i}\end{pmatrix}}\cdot {\begin{pmatrix}x_{i-1}\\y_{i-1}\\z_{i-1}\\1\end{pmatrix}}}

Die Iterationen i = 4 , 13 , 40 , k , 3 k + 1 {\displaystyle i=4,13,40,k,3k+1} müssen immer wiederholt werden, da der Areatangens hyperbolicus nicht die Bedingung t i + 1 1 2 t i {\displaystyle t_{i+1}\leq {\tfrac {1}{2}}t_{i}} erfüllt, das somit für die Reihe σ i t i {\displaystyle \sum \sigma _{i}t_{i}} nicht konvergieren würde.

Rotation mit [ x 0 , y 0 ] = [ 1 , 0 ] {\displaystyle [x_{0},y_{0}]=[1,0]} liefert: _ x n = cosh z 0 , y n = sinh z 0 {\displaystyle x_{n}=\operatorname {cosh} z_{0},\quad y_{n}=\operatorname {sinh} z_{0}} ,

davon abgeleitet:

tanh z = sinh z / cosh z {\displaystyle \operatorname {tanh} z=\operatorname {sinh} z/\operatorname {cosh} z} und e z = cosh z + sinh z {\displaystyle e^{z}=\operatorname {cosh} z+\operatorname {sinh} z}

Vektormodus mit z 0 = 0 {\displaystyle z_{0}=0} berechnet:

z n = Atanh ( y 0 / x 0 ) {\displaystyle z_{n}=\operatorname {Atanh} (y_{0}/x_{0})}

und den hyperbolischen Betrag

x n = x 0 2 y 0 2 {\displaystyle x_{n}={\sqrt {x_{0}^{2}-y_{0}^{2}}}}

davon abgeleitet:

ln w = 2 Atanh w 1 w + 1 {\displaystyle \ln w=2\operatorname {Atanh} {\frac {w-1}{w+1}}}

sowie

w {\displaystyle {\sqrt {w}}}

aus dem Betrag des Startvektors [ w + 1 / 4 , w 1 / 4 ] {\displaystyle [w+1/4,w-1/4]}

Der Konvergenzbereich ist in beiden Modi beschränkt durch die maximal mögliche Änderung von z {\displaystyle z} . Alle mathematisch erlaubten Argumente können jedoch durch einfache Umstellungen und Shift-Operationen auf ihn abgebildet werden.

Alternativen

Als Alternativen kommen hauptsächlich schnelle Tablelookup-Verfahren in Frage, wie z. B. in DSPs, und Bitalgorithmen, die mit einem ähnlichen Ansatz wie CORDIC die Berechnung vornehmen.

Literatur

  • Jack E. Volder: The CORDIC Trigonometric Computing Technique. In: IRE Transactions on Electronic Computers. September 1959.
  • D. H. Daggett: Decimal-Binary conversions in CORDIC. In: IRE Transactions on Electronic Computers. Vol. EC-8 #5, pp 335–339, IRE, September 1959.
  • J. E. Meggitt, Pseudo Division and Pseudo Multiplication Processes. In: IBM Journal. April 1962.
  • Vladimir Baykov: Problems of Elementary Functions Evaluation Based on Digit by Digit (CORDIC) Technique. PhD thesis, Leningrad State Univ. of Electrical Eng., 1972.
  • Hermann Schmid: Decimal computation. Wiley, New York 1974.
  • V. D. Baykov, V. B. Smolov: Hardware implementation of elementary functions in computers. Leningrad State University, 1975, 96p.
  • Don Senzig, Don: Calculator Algorithms. In: IEEE Compcon Reader Digest. IEEE Catalog No. 75 CH 0920-9C, pp 139-141, IEEE, 1975.
  • V. D. Baykov, S. A. Seljutin: Elementary functions evaluation in microcalculators. Radio & svjaz, Moscow 1982, 64p.
  • Vladimir D. Baykov, Vladimir B. Smolov: Special-purpose processors: iterative algorithms and structures. Radio & svjaz, Moscow 1985, 288 pages.
  • M. E. Frerking: Digital Signal Processing in Communication Systems. 199.
  • Vitit Kantabutra: On hardware for computing exponential and trigonometric functions. In: IEEE Trans. Computers. 45 (3), 328–339 (1996).
  • Ray Andraka: A survey of CORDIC algorithms for FPGA based computers.
  • Jean-Michel Muller: Elementary Functions. Verlag Birkhäuser, 2006, ISBN 0-8176-4372-9.

Weblinks

  • CORDIC Bibliography Site
  • http://www.andraka.com/cordic.php
  • BASIC Stamp II math note #C
  • VHDL-Implementierung des CORDIC-Algorithmus auf Opencores.org